Jestem noob FPGA próbuje nauczyć Verilog. Jak mogę "przypisać" wartość do reg w bloku zawsze, albo jako wartość początkową, albo jako stałą. Próbuję zrobić coś takiego w poniższym kodzie. Wystąpił błąd, ponieważ stała 8-bitowa nie jest liczona jako dane wejściowe. Nie chcę też uruchamiać zawsze zegara. Chcę tylko przypisać rejestr do określonej wartości. Ponieważ chcę, aby było to syntezowalne, nie mogę użyć początkowego bloku. Wielkie dzięki.Przypisywanie początkowej wartości syntezowalnej do reg w Verilog
module top
(
input wire clk,
output wire [7:0] led
);
reg [7:0] data_reg ;
always @*
begin
data_reg = 8'b10101011;
end
assign led = data_reg;
endmodule
To działa dla mnie z Xilinx XST. –
@Tim Działa również w syntezatorze Quartus dla Cyclone II Altery. –
@NathanFarrington jest możliwe zainicjowanie reg ze zmienną (powiedzmy parametr wejściowy modułu). Próbowałem zrobić to bezpośrednio jak wyżej, ale to nie działa. – ishan3243