2012-05-17 11 views
35

Próbowałem wielu różnych języków i kopiowałem różne przykłady, ale żaden z bloków kodu w orgodzie nie jest podświetlany. Oto, co usiłuję:Podświetlanie składni w ciągu # + blok_ begin_src w orgodzie orgii emacs nie działa

#+begin_src python 

def main(): 
    print "Hello %s" % ("what's the problem") 

#+end_src 

#+begin_src c++ 

for (int i = 0; i < 30; i++) 
{ 
    cout << "Hello" << endl; 
} 

#+end_src 

Kiedy eksportowane do HTML, to pojawia się w bloku kodu źródłowego, ale bez podkreślając tam obaj.

Używam najnowszego org (7.8.10). Czy coś mi umyka?

Odpowiedz

60

Czy masz to?

(setq org-src-fontify-natively t) 
+5

To wszystko, dziękuję. jeśli chodzi o eksportowanie HTML, dodałem htmlize.el ([pobierz tutaj] (http://fly.srk.fer.hr/~hniksic/emacs/htmlize.el.cgi)), aby uzyskać kolorowanie w CSS reguły podczas eksportowania z trybu org. – danske

+0

Wierzę, że w nowszej wersji Emacsa można również użyć 'M-x htmlfontify-buffer' zamiast htmlize.el – vitaly

5

miałem podobny problem, gdzie pomimo dodawania htmlize.el, nie mogę uzyskać kod podświetlanie składni podczas eksportowania do formatu HTML. I wtedy okazało to bardzo przydatny post i tylko następnie etapy:

Syntax highlighting R code in WordPress using Emacs

Wystarczy powtórzyć kroki:

  1. skopiowane htmlize.el w ~/.emacs.d/katalogu
  2. W trybie org wpisano Mx-bajt-plik-kompilatora i przejdź do katalogu, w którym znajduje się plik htmlize.el, wybierz go i naciśnij enter. Spowoduje to kompilację pliku htmlize.el.
  3. Zrestartuj emacs i wyeksportuj plik z blokiem kodu. Wyeksportowany plik html będzie teraz zawierał kod podświetlony składnią.
Powiązane problemy